LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY Led_top IS PORT ( a_ein : in std_logic; led_aus : out std_logic ); END ENTITY Led_top; ARCHITECTURE test of Led_top IS BEGIN led_aus <= not a_ein; END ARCHITECTURE test;