LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; -- Hello World VHDL-Programm ENTITY hello2_top IS PORT ( ledG0 : out std_logic; --Hier werden die grünen Leds 0 bis 7 definiert ledG1 : out std_logic; ledG2 : out std_logic; ledG3 : out std_logic; ledG4 : out std_logic; ledG5 : out std_logic; ledG6 : out std_logic; ledG7 : out std_logic; ledG8 : out std_logic; ledG9 : out std_logic; button0 : in std_logic; -- Hier werden die Taster 0 bis 2 definiert button1 : in std_logic; button2 : in std_logic; sw0 : in std_logic; -- Hier werden die Schalter 0 bis 9 definiert sw1 : in std_logic; sw2 : in std_logic; sw3 : in std_logic; sw4 : in std_logic; sw5 : in std_logic; sw6 : in std_logic; sw7 : in std_logic; sw8 : in std_logic; sw9 : in std_logic; clk_50 : in std_logic -- 50 MHz-Taktsignal ); END ENTITY Hello2_top; ARCHITECTURE ausgabe of hello2_top IS -- Hier werden jetzt alle Pins zugeordnet, ohne dass später -- der Pinplaner benötigt wird. attribute chip_pin : string; attribute chip_pin of button0 : signal is "H2"; attribute chip_pin of button1 : signal is "G3"; attribute chip_pin of button2 : signal is "F1"; attribute chip_pin of clk_50 : signal is "G21"; attribute chip_pin of ledG0 : signal is "J1"; attribute chip_pin of ledG1 : signal is "J2"; attribute chip_pin of ledG2 : signal is "J3"; attribute chip_pin of ledG3 : signal is "H1"; attribute chip_pin of ledG4 : signal is "F2"; attribute chip_pin of ledG5 : signal is "E1"; attribute chip_pin of ledG6 : signal is "C1"; attribute chip_pin of ledG7 : signal is "C2"; attribute chip_pin of ledG8 : signal is "B2"; attribute chip_pin of ledG9 : signal is "B1"; attribute chip_pin of sw0 : signal is "J6"; attribute chip_pin of sw1 : signal is "H5"; attribute chip_pin of sw2 : signal is "H6"; attribute chip_pin of sw3 : signal is "G4"; attribute chip_pin of sw4 : signal is "G5"; attribute chip_pin of sw5 : signal is "J7"; attribute chip_pin of sw6 : signal is "H7"; attribute chip_pin of sw7 : signal is "E3"; attribute chip_pin of sw8 : signal is "E4"; attribute chip_pin of sw9 : signal is "D2"; -- Ende der Pinzuweisung BEGIN END ARCHITECTURE ausgabe;